Система автоматизации проектирования Quartus II Компиляция Назначение контактов Моделирование.

Презентация:



Advertisements
Похожие презентации
Система автоматизации проектирования Quartus II. СБИС Программируемой Логики фирмы Altera Cтруктурированные полузаказные микросхемы ASIC, архитектура.
Advertisements

Тема 5. Основы современной технологии программирования Программирование в средах современных информационных систем. Интегрированные системы разработки.
Выполнение запросов, создание и редактирование отчета MS Access.
Из чего состоит "электронный офис". Запуск программ Office.
Интегрированная среда разработки языкаVisual BasicИнтегрированная среда разработки языкаVisual BasicИнтегрированная среда разработки языкаVisual BasicИнтегрированная.
Урок 3. Формы представления данных (таблицы, формы, запросы, отчеты)
ОГЛАВЛЕНИЕ Разделы Страницы День Введение в MSC.Mvision Builder and Evaluator MSC.Mvision – база данных, содержащая свойства материалов………………………………………………………………………………………………
1 © Хацкевич Александр Георгиевич Windows 7. 2 В предыдущих версиях операционных систем Windows управление файлами означало расположение файлов в различных.
Технология создания и редактирования диаграмм Автор презентации: Туголукова А.А.
Microsoft word В то время как Windows все больше развивался и и привлекал интерес, к нему был перенесён и широко известный текстовый редактор фирмы Microsoft.
Основные возможности MS ACCESS. CУБД Access - Представляет из себя программное средство, при помощи которого можно создать многотабличную реляционную.
Начальные сведения по созданию проекта Разработал учитель информатики МБОУ СОШ 50 г. Краснодара Ракута Елизавета Григорьевна « Не бойся, что не знаешь,
Проверка правописания. Вверяет текст, опираясь на словарь из 240 тысяч основ русских слов, что соответствует приблизительно четырем миллионам различных.
Основы объектно-ориентированного программирования (ООП)
ФАКУЛЬТАТИВ №001. IDE Delphi ЭЛЕМЕНТЫ ИНТЕРФЕЙСА Delphi.
Выполнил: Желнин С.В. Научный руководитель: Фельдман В.М.
1 Разработка проектов в системе программирования Visual Basic Учитель информатики МОУ СОШ 33 г. Томска Гребнева Татьяна Николаевна.
VBA – Visual Basic for Application (офисное программирование) Назначение VBA VBA является современным языком визуального и объектно-ориентированного программирования.
Текстовый процессор Microsoft Word Технология обработки текстовой информации.
Транксрипт:

Система автоматизации проектирования Quartus II Компиляция Назначение контактов Моделирование

Создать новый проект в среде Quartus II Запустить утилиту File New Project Wizard Шаг 1 из 5: задать имя рабочей папки проекта, имя проекта и имя файла верхнего уровня иерархии проекта Шаг 3 из 5: Из списка Available device list выбрать устройство FPGA Из списка Device Family выбрать семейство Cyclone II Выбрать тип микросхемы ЕР2С35F672C6 (выписать в протокол параметры микросхемы, количество логических ячеек, блоков памяти, встроенных умножителей, напряжение питания, количество контактов …) Создать файл верхнего уровня иерархии File New Block Diagram Schematic File Сохранить файл верхнего уровня иерархии с именем «name_progect».bdf

Создание файла описания проекта в графическом редакторе Block Editor Добавление блоков

Изменение свойств блока Block Properties (Block_name, Input/Output)

Создание файла описания блока (Create Design File …)

Добавление входных/выходных контактов к проекту

Изменение свойств контактов (Pin_name)

Добавление шин

Назначение имени шинам и проводникам

Карта описания входов/выходов блока (Mapper Properties)

С помощью утилиты Tools MegaWizard создать мегафункции умножителя, блока памяти, выходного буфера

Мастер MegaWizard Мастер MegaWizard облегчает процедуру настройки мегафункции и IP- модулей

Добавление мега функций в проект

Добавление умножителя (в блок)

Использование файла инициализации памяти Укажите MIF or HEX файл

Добавление памяти и выходного буфера (в верхний файл проекта)

Проект перед компиляцией

Архивация и восстановление проекта «Archive & Restore» При выполнении команды меню: Project >Archive Project создаются : 1. Файл -.qar -сжатый архивный файл с проектом 2. Файл -.qarlog -файл с отчетом о результатах архивирования При выполнении команды Меню: Restore Archived Project восстанавливается архивированный проект

Полная компиляция (Start Compilation) –Полная компиляция, включая сборку СБИС Start Analysis & Elaboration –Проверка синтаксиса и построение базы данных объекта компиляции –Данная команда выполняет проверку наличия всех файлов в проекте и правильность их подключений Start Analysis & Synthesis –Проверка синтаксиса, построение базы данных, синтез, оценка быстродействия объекта компиляции Start Fitter Start Timing Analysis –Только оценка быстродействия объекта компиляции Start Design Assistant –Запуск «помощника в проектировании» Stop – остановка процесса компиляции Режимы компиляции Доступ ко всем режимам компиляции через меню Processing>Start Иконы панели управления

Настройка компиляции Меню Assignments -> Settings.Settings. Compilation Process Setting -> Incremental Compilation -> Full incremental compilation or Incremental synthesis only

Окно состояния (Status) и окно сообщений (Message) Start Compilation -> Compilation Report

Отчет о компиляции (Compilation Report) Окно отчета Flow Summary появляется автоматически после окончания компиляции. Оно содержит: сводную информацию (Summary) детальную информацию, разбитую на отдельные разделы. Compilation Report -> Flow Summary Total logic elements, total memory bits, total embedded multiplier 9-bit elements total pins

26 Данные об используемых ресурсах для реализации блоков mult и ram находятся в папке Compilation Report -> Fitter -> Resource Section в таблице Resource Utilization by Entity

Просмотр результатов компиляции Редактор Netlist Viever Tools Meny=> Netlist Viever => RTL Viever После этапа Analysis & Synthesis ( Преобразование описания проекта (всех блоков и узлов в примитивы понятные Quartus II. Quartus II преобразует проект в схему, реализуемую на заданной элементной базе.) Удобно при написании проекта на языке высокого уровня, можно посмотреть что получилось History List - все блоки, что можно раскрыть выделяет зеленым, примитивы (сиреневые), контакты (серые) и сигналы.

History List - все блоки, можно найти по имени, блоки которые можно раскрыть выделяет зеленым, примитивы (сиреневые), контакты (серые) и сигналы. Hierachy DOWN - на один уровень вниз Hierachy UP- на один уровень вверх

Редактор Technology Map Viever Tools Meny=> Netlist Viever => Technology Map Viever (результаты размещения проекта в топологии МС. Все в виде ячеек- c указанием номера ячейки и даже логической функции, которая выполняется)

топологический редактор Chip Planner (просмотр и редактирование топологии МС) использованные логические ресурсы цикл трассировки каналы связи области тактовых частот Результаты компиляции: качество размещения соединения элементов проверка работоспособности можно переназначить ресурсы (то есть мышкой можно перетаскивать ячейки и контакты в/в) после чего обязательно перекомпилировать проект

топологический редактор Chip Planner

Locate => Resource Properties Editor – просмотр содержимого логической ячейки.

Locate => Resource Properties Editor просмотр содержимого логической ячейки.

Редактор назначений Assignment Editor Заменил Assignment Organizer Поддерживает все семейства СБИС Позволяет использовать Clipboard (CTRL-C, CTRL-V & CTRL-X) Provides Spreadsheet Assignment Entry & Display Отображает имя файла, в котором хранятся настройки Позволяет запрещать отдельные назначения Содержимое колонок можно сортировать Контекстно-зависимое меню

Запуск редактора Assignments Editor Выделите модуль в навигаторе проекта (закладка Hierarchies), нажмите правую клавишу манипулятора и в появившемся меню выберите Assigment Editor Выполните команду Assignments =>Assigment Editor

Редактор назначений контактов Pin Planner Assignments => Pin Planner

Возможные методы моделирования Ввод входных воздействий для моделирования в пакете QuartusII (Waveform entry ) – *.vwf (vector waveform file) – графический файл входных сигналов диаграммы (файл создается в редакторе временных диаграмм пакета Quartus II) – *.cvwf – сжатый формат графического файла – *.vcd – текстовый файл (описывает изменение состояний входных сигналов) (на языеTcl) – *.vec – изменение входных сигналов в текстовом виде (verilog/vhdl – описание стимулов) – (test bench) Дополнительные возможности симуляции – - преобразование графического файла test bench – - можно сгенерировать шаблон для моделирования для данного проекта – - сравнить результаты моделирования с тестовыми файлами Использование систем моделирования сторонних производителей (Modelsim, ActivHDL…)

Система моделирования пакета QuartusII поддерживает 9 уровней сигнала –1Forcing 1 –0Forcing 0 –XForcing unknown –UUninitialized –ZHigh impedance –HWeak 1 –LWeak 0 –WWeak unknown –DCDont Care Поддерживаемые уровни сигналов

Задание параметров моделирования Assignment -> Setting - > Simulator Settings Параметры позволяют задать тип и режимы моделирования Quartus II позволяет сохранять набор заданных параметров (настройку) моделирования Время моделирования Время моделирования ограничивается длиной файла с тестовым воздействием Задание файла с тестовыми воздействиями

Режимы моделирования Functional Simulation - функциональное моделирование проекта при котором проверяется правильность описания и логического функционирования проекта, не учитывает временные параметры и информацию о размещении проекта на микросхеме; Timing Simulation - моделирование с учетом временных параметров реальной ПЛИС, позволяющее проверить не только правильность логического функционирования проекта, но и его работу с учетом реальных параметров выбранной ПЛИС в самых жестких условиях эксплуатации. На 90% приближается к реальным. Timing Fast Timing - использование быстрых временных задержек, екстпуатация в условиях с повышенной температурой.

Создание файла временных диаграмм редактор Waveform Editor Создание файла: – File=>new – В окне New: Закладка Others Тип файла – Vector Wavewform File

Ввод узлов (Nodes) Edit=> Insert => Node or Bus Выберите узлы с помощью системы Node Finder

Система поиска узлов Node Finder При поиске используйте групповые символы (wildcards) Список узлов, найденных программой фильтрации в указанном модуле и в компонентах более низкого уровня иерархии. Используйте программу фильтрации (Filter) для выбора отображаемых узлов Выберите искомые узлы и с помощью стрелок перенесите их в правое окно (Selected Nodes)

44 Система поиска узлов Node Finder

Результат добавления узлов 45

Задание длительности моделирования Edit =>End Time Edit => Grid Size Изменение шага сетки Задание длительности моделирования, время для которого задается вектор стимулов

Ввод временных меток (Time Bars) Только одна временная метка (time bar) может быть основной (master) Временные метки (Time bars) могут иметь абсолютную временную привязку (absolute) или относительную – относительно основной временной метки (relative to master). Временная метка (Time Bar) Задание временной метки (time bar) Задание основной временной метки (master time bar)

Ввод временной диаграммы входного сигнала (Stimulus Waveform) Выделите участок временной диаграммы, который необходимо изменить Замените значение (я) на выделенном участке требуемым значением. Выберите новое значение Выделенный участок временной диаграммы

Запуск моделирования Для запуска системы моделирования могут использоваться следующие команды: Processing =>Start Compilation & Simulation Processing => Start Simulation Иконка на панели инструментов

Отчет о результатах моделирования (Simulator Report) Обобщенные результаты Отчет о результатах моделирования

Отчет о результатах моделирования (отображение временной диаграммы) Выберите Simulation Waveform для отображения временных диаграмм с результатом моделирования Откройте отчет о результатах моделирования Результаты моделирования

Сохранение временной диаграммы с результатами моделирования Выполните команду File=>save As Введите имя файла

Сравнение временных диаграмм Откройте файл в редакторе временных диаграмм (или временную диаграмму с результатами моделирования), выполните команду View => Compare to Waveforms, укажите файл временных диаграмм с которым будет осуществляться сравнение

Результат сравнения временных диаграмм Временные диаграммы совпадают Временные диаграммы отличаются Можно отобразить –исходную временную диаграмму –Временную диаграмму с которой осуществляется сравнение –Обе одновременно В окне сообщений отображаются результаты сравнения Команда окончания сравнения

Результат сравнения временных диаграмм ORIGINAL (CTRL+1) ACTUAL (CTRL+2) COMPARED (CTRL+3)

Использование систем моделирования сторонних производителей Системы моделирования – Model Technology (ModelSim) – Cadence (VERILOG-XL & NC-Verilog) – Synopsys (VCS) – Synopsys (VSS) Режимы моделирования – Функциональное моделирования (Functional Simulation) 220models и altera_mf – Временное моделирование VHDL – Quartus II формирует.VHO и.SDO файлы – Используйте библиотеки примитивов STRATIX_ATOMS.VHD, STRATIX_ATOMS_COMPONENTS.VHD… расположенных в папке sim_lib Verilog – Quartus II формирует.VO и.SDO файлы – Используйте библиотеки STRATIX_ATOMS.VO… расположенные в папке sim_lib

Преобразование временной диаграммы в тест на языке HDL Пользователь может преобразовать файл редактора временных диаграмм в тест на языке VHDL или VerilogHDL