Смешанное моделирование цепей и систем Ко-симуляция при расчете приемопередающего тракта Г. Сердюк, Б. Шелковников, Киев-Севастополь, 2005.

Презентация:



Advertisements
Похожие презентации
Моделирование электрических процессов в электронных средствах с помощью OrCad PSpice.
Advertisements

ОСНОВЫ ЯЗЫКА VHDL 1. Описание цифровых автоматов 2 Общие сведения об автоматах Устройства, содержащие элементы памяти (ЭП), имеют некоторое внутреннее.
МЕТОДЫ СОВРЕМЕННОЙ ТЕОРИИ РЕГУЛИРОВАНИЯ (ТАУ) Выполнил студент Гр. ЭСП-32 Чугаев С,А, Проверил.
Лекция 7 Динамические характеристики измерительных систем Импульсной характеристикой стационарной измерительной системы, описываемой оператором, называют.
Лекция 6. Физические системы и их математические модели В общем виде математическая модель такой системы может быть записана следующим образом: где – системный.
Моделирование на ЭВМ системы восстановления несущей для сигнала ФМ-2 Работу выполнил студент группы ЭР Устинов С.М. Московский Энергетический Институт.
Компьютерная электроника Лекция 20. Усилители. Усилители Усилителем называется устройство, с помощью которого путем затрат небольшого количества энергии.
Пакет прикладных программ векторного анализа и синтеза модулированных сигналовВектор.
ИМИТАЦИОННОЕ МОДЕЛИРОВАНИЕ В ПРОГРАММЕ ELECTRONICS WORKBENCH.
Модулятор Модулятор (лат. modulator соблюдающий ритм) устройство, изменяющее параметры несущего сигнала в соответствии с изменениями передаваемого (информационного)
Выпускная работа « Цифровое моделирование и исследование характеристик системы частотной автоподстройки при совместном действии сигнала и шума » студент.
Дипломная работа по теме: МОДЕЛИРОВАНИЕ СИСТЕМЫ ЧАП АППАРАТУРЫ ПОТРЕБИТЕЛЯ СРНС. Научный руководитель: к.т.н., профессор Замолодчиков В.Н. Студент: Лопатин.
ОСНОВЫ ТЕОРИИ АВТОМАТИЧЕСКОГО УПРАВЛЕНИЯ Чекрыжов Сергей 2009.
Модуляция – изменение амплитуды высокочастотных колебаний с помощью электрических колебаний звуковой частоты.
Электроэнергетический факультет Кафедра электроснабжения и эксплуатации электрооборудования Учебная дисциплина ДИАГНОСТИКАЭЛЕКТРОЭНЕРГЕТИЧЕСКОГООБОРУДОВАНИЯ.
3 Математическое описание САУ План лекции: Построение модели, динамические и статические звенья САУ. Дифференциальные уравнения звеньев САУ. Соединение.
Введение в задачи исследования и проектирования цифровых систем Санкт-Петербургский государственный университет Факультет прикладной математики - процессов.
Преподаватель НКСЭ Кривоносова Н.В.. Нелинейные элементы Вольт-амперные характеристики (ВАХ) нелинейных элементов Классификация нелинейных элементов Нелинейные.
ЛЕКЦИЯ 6 ( ) Требования, предъявляемые к математическим моделям Асимптотический ряд моделей Точность, экономичность, универсальность Методы оценки.
ОПТИМАЛЬНОЕ НЕПРЯМОЕ УПРАВЛЕНИЕ ЛИНЕЙНЫМИ ДИНАМИЧЕСКИМИ СИСТЕМАМИ Белорусский государственный университет Факультет прикладной математики и информатики.
Транксрипт:

Смешанное моделирование цепей и систем Ко-симуляция при расчете приемопередающего тракта Г. Сердюк, Б. Шелковников, Киев-Севастополь, 2005

Анализ тракта в целом. Зачем ? В цифровых системах связи используют как аналоговые так и цифровые блоки Одна и та же функциональность может быть реализована и в цифровой и в аналоговой части Искажения аналоговой части можно компенсировать в цифровой части Позволяет определять влияние параметров аналоговой цепи на интегральные свойства системы связи в целом

Симулятор Rincon Использует VDHL-AMS c частотными расширениями (FD) для описания цепей и компонентов Использует метод Гармонического Баланса (ГБ) для анализа установившегося режима Использует метод Огибающих (Модуляционного ГБ) для анализа цепей при сложных модулированных воздействиях Взаимодействует с системами дискретного моделирования, что позволяет построить модель тракта в целом Matlab/Simulink Применяется для анализа цифровых систем связи (метод комплексных огибающих) Развитая библиотека блоков Расширяется с использованием С/C++

VHDL-AMS Стандарт принят в 1999 году для расширения VHDL на аналоговые и смешанные сигналы Представляет аналоговую цепь в виде списка компонентов (как и Spice) Позволяет описывает как цепи (используя консервативную семантику для узлов) так и системы (используя регулярные переменные). Позволяет описывать компоненты, используя уравнения в терминах приложенных и протекающих величин

Список компонентов в VHDL-AMS Любой блок имеет интерфейс: entity amplifier is port (terminal inp, outp: electrical); end; И реализацию (структурная реализация): architecture structural of amplifier is terminal t1, t2, t3: electrical; begin ri: entity res(eq) generic map (10000) port map (inp, ground); c1: entity cap(eq) generic map(1.e-6)port map (inp, t1); r2: entity res(eq) generic map (420) port map (t1, ground); r1: entity res(eq) generic map (6000) port map (t3, t1); r3: entity res(eq) generic map (1000) port map (t3, t2); r0: entity res(eq) generic map (10000) port map (outp, ground); e1: entity vdc(eq) generic map(10) port map (t3, ground); c2: entity cap(eq) generic map(1.e-6) port map (outp, t2); q1: entity bjt(gp) port map (ground, t1, t2); -- параметры можно определить внутри модели прибора) end;

Компонент в VHDL-AMS В свою очередь компоненты описывается уравнениями (поведенческая реализация): Резистор: entity res is generic ( r: real ); port ( terminal a,b : electrical); end; architecture eq of res is quantity ur across ir through a to b; begin ir==ur/r; end;

Компонент в VHDL-AMS (транзистор) Транзистор (модель Гуммеля-Пуна). entity bjt is port (terminal e,b,c : electrical); end entity; architecture gp of bjt is constant iss :real := 1.e-12; constant ise :real := 0; --1.e-17; constant isc :real:= 0; --1.e-17; constant vt : real := ; constant bf : real := 100; constant br : real := 2; constant nf : real := 1; constant nr : real := 1.5;constant ne : real := 1; constant nc : real := 2; quantity icoll through c; quantity ibase through b; quantity iem through e; quantity vs1 across b to e; quantity vs12 across b to c; quantity vce across c to e; begin func: procedural is variable ibf, ibr, ilc, ile, kqb, ice, r : real; begin kqb := 1; ibf := iss* (myexp(vs1 /(nf*vt))-1); ibr := iss* (myexp(vs12/(nr*vt))-1); ile := ise* (myexp(vs1 /(ne*vt))-1);ilc := isc* (myexp(vs12/(nc*vt))-1); -- one megaom between c and e to improve convergenece ice_r := vce/1.e6; icoll := ibf/kqb - ibr/kqb - ibr/br - ilc + ice_r; ibase := ibf/bf + ibr/br + ilc + ile ; iem := - icoll - ibase; end procedural; end architecture;

Гармонический Баланс (уравнение) Составленные уравнения решаются в частотной области методом гармонического баланса И невязки уравнений, и переменные - спектры I(V) – нелинейные токи = FFT{ I ( FFT -1 {V} ) } Q(V) – нелинейные заряды ( так же ) YV – линейная часть системы ур-й U – свободный вектор

Гармонический Баланс (Модуляционный) Переменные состояния – «медленно изменяющиеся» во времени спектры, Решается с шагом по времени

Гармонический Баланс (решение) Решается методом Ньютона Траектория решения контролируется уменьшением невязки ||F n+1 || < ||F n || *(1- *||x n ||*||F n ||) Используется как традиционный Ньютон, так и неявный с линейным итерационным солвером ( п/п Крылова) Используется неявный метод Ньютона без прямого расчета якобиана

Интеграция со средствами дискретного моделирования Применялся метод дискретной комплексной огибающей Сформулировав уравнения МГБ в дискретные моменты времени, объединяем два метода (вызываем первый из второго) Ко-симуляция – совместное использование различных средств моделирования в одном процессе анализа. Matlab/Simulink – позволяет представить цифровую часть методом комплексной огибающей, имеет развитую библиотеку системных компонентов Наряду с Matlab/Simulink можно использовать другие средства, в том числе открытые Ptolemy-II, SystemC, SystemC-AMS

Структура системы Два сосуществующих процесса, обменивающихся данными Simulink передает текущее время и значения входных (для Rincon) величин, Rincon выполняет шаг анализа методом МГБ и возвращает результаты в Simulink Simulink Блок- схема цифр. части Rincon Принципиальная схема усилителя Время, входные переменные Реакция Адаптер к Rincon

Модельная задача Тракт приемника с QPSK модуляцией Усилитель представлен схемой

Модельная задача (усилитель) Схема усилителя

Результаты (спектры) Спектры на входе и выходе усилителя

Результаты (траектории сигнала) Траектории сигналов на входе и выходе усилителя

Синфазная и квадратурная компоненты Нормализованные синфазная и квадратурная компоненты – на входе и выходе усилителя

Заключение Продемонстрирована расширяемость программы моделирования Rincon – Использована ко-симуляция для анализа связного тракта – взаимодействуют различные методы моделирования (МГБ и комплексных огибающих) Приведена реализация среды Иллюстрация – расчет приемопередающего тракта

Распространение Rincon Студенческая версия доступна без ограничения по времени использования, ограничен размер задач Бесплатная полная лицензия для учебных организаций

Спасибо за внимание Rincon – анализ СВЧ и радиочастотных схем и систем Метод гармонического баланса – традиционный и п/п Крылова Модуляционный гармонический баланс – сложные сигналы VHDL-AMS/FD – создание линейных и нелинейных моделей произвольной сложности Ко-симуляция - совместное использование с различными средствами моделирования.