Кафедра компьютерные системы и технологии курс Системы ввода-вывода, интерфейсы и периферия компьютеров часть 1 С истемы ввода-вывода и интерфейсы (конспект.

Презентация:



Advertisements
Похожие презентации
Магистрально- модульное построение компьютера. Введение Архитектура современных персональных компьютеров (ПК) основана на магистрально- модульном принципе.
Advertisements

Магистрально-модульный принцип построения компьютера.
Архитектура персонального компьютера. МАГИСТРАЛЬНО-МОДУЛЬНОЕ УСТРОЙСТВО КОМПЬЮТЕРА Информационная магистраль (шина) Устройства вводаУстройства выводаДолговременная.
Магистрально- модульный принцип строения компьютера 10 класс (информационно-технологический профиль)
Выполнил: Жаравин Александр Игоревич. Содержание Введение Термины Основные принципы построения ЭВМ Основные принципы построения ЭВМ Основа компьютера.
Схема компьютера Взаимодействие устройств компьютера.
Магитстрально- модульное построение компьютера. архитектурой ЭВМ называется описание структуры и принципов работы компьютера без подробностей технической.
1 Микропроцессорная система. 2 Особенности микропроцессорных систем Гибкая логика работы меняется в зависимости от задачи; Универсальность может решать.
Информационные шины обмена – ISA, PCI 1 Системотехника ЭВС, комплексы и сети.
Архитектура вычислительной машины (Архитектура ЭВМ) концептуальная структура вычислительной машины, определяющая проведение обработки информации и включающая.
Магистрально-модульный принцип построения компьютера Выполнил учитель информатики АСОШ 2: Шарипов И.И.
Магистрально-модульный принцип построения компьютера Устройство компьютера.
Компьютер – универсальная техническая система обработки информации Информатика. 10 класс.
Основы построения ЭВМ Преподаватель Детёнышева Екатерина Сергеевна.
Кафедра компьютерные системы и технологии курс Системы ввода-вывода,интерфейсы и периферия компьютеров часть 1 системы ввода-вывода и интерфейсы Лектор.
Архитектура современных персональных компьютеров Подготовил студент группы 11ИнфБ122 Зайцев Д.
Взаимодействие устройств компьютера. Общая структурная схема компьютера.
Архитектура персонального компьютера. Компьютер – представляет собой программируемое электронное устройство, способное обрабатывать данные и.
Учебный курс Введение в цифровую электронику Лекция 5 Обмен информацией в микропроцессорной системе кандидат технических наук, доцент Новиков Юрий Витальевич.
Выполнила: Позднякова Алина 11 А класс Учитель: Новикова Е.Е.
Транксрипт:

Кафедра компьютерные системы и технологии курс Системы ввода-вывода, интерфейсы и периферия компьютеров часть 1 С истемы ввода-вывода и интерфейсы (конспект лекций) Лектор профессор Чернышев Ю.А.

Тема 1.1. Основные принципы построения систем ввода вывода и интерфейсов

Роль и место систем ввода-вывода и интерфейсов в компьютере

Что такое система ввода-вывода, периферия и интерфейсы? Система ввода-вывода (СВВ) – средства взаимосвязи и передачи информации, объединяющих между собой основные устройства компьютера: - Центральный Процессор (ЦПр), - Оперативное Запоминающее Устройство (ОЗУ), - Периферийные (Внешние) Устройства (ПУ). ЦПрОЗУ ПУ СВВ

Периферийные устройства (внешние устройства) ПУ можно разделить на две категории : -- внешние запоминающие устройства (ВЗУ); -- устройства ввода – вывода (УВВ), средства для связи компьютера с пользователями (внешним миром). Обобщенная структура УВВ Блок управ. Буферное ЗУ Преобразователь Данные Состояние Управление Внешняя среда

Функционально – модульная структура компьютера Компьютер можно представить в виде системы, состоящей из взаимосвязанных функционально законченных и конструктивно оформленных модулей : микропроцессора, ОЗУ, контроллеров (БИС, платы расширения), ВЗУ, монитор, печать и другие ПУ. Связь между ними должна осуществляться СВВ с помощью стандартных технических средств и правил взаимодействия, т.е. стандартных интерфейсов.

ИНТЕРФЕЙС Средства и правила, обеспечивающие взаимосвязь устройств между собой, называются интерфейсом. В интерфейсе стандартизируются: 1. Назначение и количество линий интерфейса. 2. Параметры электрических сигналов. 3. Протоколы обмена информацией и выполнения функций интерфейса. 4. Конструктивные параметры. Физически интерфейс реализуется в виде электрических линий для передачи сигналов и набора микро - схем, обеспечивающих выполнение основных функций интерфейса.

Некоторые понятия интерфейсов Канал – среда передачи информации, представляемой в виде определенных сигналов. Канал реализуется с помощью тех или иных средств, зависящих от физической природы сигналов (ток, напряжение, радиосигнал, оптический сигнал и т.п.). Компьютерный интерфейс является каналом передачи информации с помощью электрических сигналов (импульсов и потенциалов). Линия интерфейса – это электрический проводник (провод, линия печатного монтажа, контакт разъема платы), по которому распространяется электрический сигнал. При дифференциальном представлении сигналов линия содержит два проводника. Магистраль – это совокупность всех линий интерфейса. Шина – группа линий интерфейса, соответствующая определенному функциональному назначению (шина данных, шина адреса и т.п.) В дальнейшем термин «магистраль» стали заменять термином «шина». В свою очередь понятие «шина» стало в определенной мере синонимом термина «интерфейс», хотя понятие шины более узкое, чем общее понятие интерфейса

Топология интерфейсов 1. Точка – точка 2. Магистральная Шлейфовая 3. Многоуровневая звезда 1 2 1N 1 2N T

Классификация интерфейсов Существует множество стандартных компьютерных интерфейсов различного назначения, обладающих своими особенностями и характеристиками. Их можно классифицировать по различным признакам. 1. По способу передачи данных. а) Параллельные – одновременная синхронная передача всех или части разрядов, например байта, слова, кода. Шина данных имеет столько линий сколько одновременно передается разрядов. б) Последовательные – последовательная, синхронная передача бит данных. Для данных требуется всего одна линия. Последовательные интерфейсы проще и дешевле, чем параллельные. Для повышения производительности последовательных интерфейсов используют одновременную, параллельную передачу группы разрядов слова по нескольким последовательным каналам

2. По организации обмена. а) Симплексный – передача в одну сторону. б) Полудуплексный – передача в две стороны, но в разные моменты времени, по одним и тем же линиям. в) Дуплексный – передача в две стороны одновременно. Требу- ются свои линии в каждую сторону. 3. По способу синхронизации. а) Синхронный – все сигналы интерфейса переключаются по фронту синхроимпульсов. б) Асинхронный – сигналы могут переключатся внутри такта синхроимпульсов, а не только по их фронту.

3. ПО архитектуре интерфейсов. 1. Параллельный интерфейс: а) трех шинный – шина данных, шина адреса, шина управления, б) двухшинный – шина адреса / данных, шина управления. Двухшинный проще, но менее производителен. 2. Последовательный интерфейс: а) без специальных линий управления, б) со специальными линиями управления. 4. По назначению. а) Внутренние, для объединения электронных модулей системного блока, это шины верхнего уровня с максимальным быстро- действием: -- шина переднего плана - FSB (Front-Side Bus)связь МПР с ОЗУ, видеомонитором и ПУ скорость работы наибольшая. -- шина заднего плана – BSB (Back – Side Bus), она быстрее, чем FSB, это шина для связи МПР с кэш-памятью второго уровня, -- шина оперативной памяти для связи ОЗУ с FSB.

-- шины ввода –вывода (шины расширения) - предназначены для соединения ЦПР с ПУ. Они менее производительны, большего размера, важным является их стоимость и конструктив. Являют- ся одной из основ системы ввода – вывода. б) Внешние (интерфейсы ПУ) – предназначены для подключения ПУ к системе ввода – вывода. Они могут быть : -- универсальные, для подключения разных типов ПУ, -- специализированные – для подключения одного типа ПУ. Скорость передачи информации в интерфейсах Скорость передачи измеряется в бит/сек или байт/сек. Будем считать, что за один синхроимпульс передается слово или бит. Тогда : при частоте синхроимпульсов f скорость передачи - параллельный интерфейс, при N - число бит, n - байт в слове Vпар = fпар N бит/сек = fпар n байт/сек, fпар < 150Мгц - последовательный интерфейс Vпос = fпос бит/сек Сейчас все шире используются последовательные интерфейсы из-за их простоты и дешевизны и высоком значении fпос >2Ггц

Основные принципы управления передачей информации в вычислительных системах

Организация передачи информации между ЦПР и ПУ Все устройства, взаимодействующие с помощью интерфейсов, делятся на два вида : задатчики (активные устройства), начинают и управляют процессом ввода-вывода, исполнители (пассивные устройства), они принимают (вывод, запись) или выдают(ввод, чтение) информацию и выполняют приказы задатчика. Устройство, выдающее информацию, является источником, а принимающее – приемником. ЦПр всегда задатчик, а ОЗУ всегда исполнитель. ПУ и то и другое. Передача информации от задатчика к исполнителю реализуется операцией записи, а обратная – операцией чтения. Процесс пере- дачи между ПУ и ЦПр называют вводом-выводом информации. Для минимизации загрузки процессора при выполнении процессов ввода-вывода функции управления распределяются на несколько устройств: процессор, главный контроллер (канал) ввода- вывода, контроллер ПУ и блок управления ПУ.

Многоуровневая организация процесса ввода - вывода

Режимы ввода - вывода

Системные интерфейсы и шины расширения

Интерфейсы периферийных устройств

Тема 1.2. Основные функции и принципы построения интерфейсов

Основные функции интерфейсов 1. Передача информации. 2. Синхронизация передачи информации. 3. Арбитрация, селекция. 4. Контроль функционирования интерфейса. 5. Преобразование информации. 6. Автоконфигурация. 7. Управление питанием. 8. Горячее подключение.

Функция передача информации Главная задача интерфейса -- организация надежной передачи информации от источника к приемнику в заданный момент времени. Если к одному интерфейсу с помощью специальных средств физически подключается множество взаимодействующих между собой устройств, то в каждый момент времени переда ча информации идет только между двумя устройствами от источ- ника к приемнику, остальные отключены от шины. Такая передача возможна, если между этими двумя устройствами в данный момент времени включена электрическая цепь (электрическая взаимосвязь), соединяющая выходы источника со входами приемника, по которой передаются электрические сигналы, соответствующие данной информации. Таким образом в интерфейсах между двумя взаимосвязанными устройствами постоянно существует логический канал, который или открыт для передачи информации, образуя соединение типа «точка-точка», или закрыт, отключая устройство от шины. Для переключения логического канала из закрытого в открытый и наоборот, а также для передачи и приема данных требуется определенное время.

Организация процесса передачи Ист. Пр. Линии интерфейса

Состояние линий интерфейса Линия интерфейса может находиться в двух состояниях: - активном, когда по линии передаются либо двоичные разряды передаваемой информации ( «0» или «1» ), либо сигналы управления, имеющие или высокий или низкий уровни, как правило актив- ному управляющему сигналу соответствует низкий уровень; - состоянии холостого хода, когда на линии отсутствуют какие либо сигналы,т.е. передачи информации нет. Это состояние линий идентифицируется с помощью набора определенных управляющих сигналов на линиях шины управления, или определенным физическим состоянием линий. Считывание приемником значений разрядов производится в мо – мент времени, когда сигналы, соответствующие 0 или 1 примут стабильное значение,в этот момент времени подается специальный строб импульс, по которому информация записывается в приемник.

Время передачи информации Пусть : А - источник, В - приемник. Сигнал на А Сигнал на В t зд- задир. захв. шины, t рс- распр. сигн., t ст-стабильный сигнал t уд- удерж. стаб. сиг., t п- время пер. t п = t рс + t ст + t уд t зд t рс t ст t уд А получает шину Данные на А Данные на В Данные считаны Данные удалены

Транзакции и операции интерфейса Данные по линиям интерфейса передаются блоками. Блок, со – держащий в начале адрес первого слова, а затем сами данные называется транзакцией. Использование транзакций повышает производительность интерфейса за счет однократной передачи адреса. Транзакция имеет признаки ее начала и конца. При выполнении транзакции чтения после установки адреса требуется время на переключение направления передачи информа- ции : было от задатчика к исполнителю, требуется от исполните- ля к задатчику. Передача информации по шине выполняется с помощью специ – альных команд (операций) интерфейса, каждой из которых соот- ветствует определенный протокол работы шины. Основными яв- ляются операции ЗАПИСИ - WR(write) и ЧТЕНИЯ – RD(rеаd). Они разные при обращении к разным полям памяти: ОЗУ, регистры ввода – вывода, регистры автоконфигурации.

Используются следующие принципы построения интерфейсов: синхронный и асинхронный,с коммутацией цепей и коммутацией пакетов. Синхронный – все сигналы переключаются только по фронту синхроимпульсов. Асинхронный – возможно переключение сигналов и между синхроимпульсов. С коммутацией цепей – шина занята на все время передачи транзакции между задатчиком и исполнителем. В этом случае весьма вероятны простои шины из-за ожидания появления информации на шине. С коммутацией пакетов – транзакция разбивается на два пакета: пакет адреса и пакет данных, которые могут передаваться раздельно В этом случае, если на шине нет данных, она может использоваться другим задатчиком, что повышает производительность работы шины.

Функция синхронизации При передаче информации источник должен знать, когда можно начинать передачу, а приемник должен знать, когда началась пе - редача информации. Это делается с помощью системы синхро – низации. Синхронизация – согласование процессов взаимодействия при передаче информации от источника к приемнику. В зависимости от типа единицы информации можно выделить три уровня синхронизации: 1. На уровне бит – реализуется аппаратно. 2. На уровне слов (байт) - реализуется аппаратно. 3. На уровне массивов – реализуется программно.

Два основных принципа синхронизации: Синхронный – когда смена состояний источника и приемника взаимонезависима и выполняется через одинаковые фиксиро - ванные интервалы времени, если в заданный момент времени передача не произошла, информация теряется. Т.е. задается по – стоянный фиксированный период синхронизации Т син. t рл – время распрстранения сигнала в линии t расп – время распознавания t фик – фремя фиксации сигнала Т син – определяется по самому медленному устройству. Асинхронный – когда смена состояний источника и приемника взаимозависимы, т.е. момент смены состояния источника зависит от момента смены состояния приемника. В этом случае Т син является переменным и зависит от быстродействия устройства. Здесь требуется обратная связь от приемника к источнику.

Последовательная передача данных Синхронный принцип синхронизации Использование специальной линии синхронизации от генератора синхроимпульсов (ГСИ), задающего период синхронизации T син. Использование двух ГСИ на источнике и приемнике, запускаемых Одновременно при начале передачи данных Использования принципа самосинхронизации. При этом сигналы 0 и 1 физически должны быть разными. Например 1 – изменение сигнала от низкого до высокого уровня, а 0 – наоборот. ГСИ И П Данные СИ ГСИ И П Данные

Асинхронный принцип синхронизации Используется при передаче блока (массива) данных. Начало и ко - нец блока помечается специальными кодами или сигналами. Например, старт и стоп битами при передаче символа, в состоя – нии холостого кода линия находится в состоянии 1. Призн.нач. Массив данных Призн.кон.

Параллельная передача данных При такой передаче обязательно используется строб – импульс, посредством которого считываются(записываются) одновремен – но все разряды передаваемого слова(кода), когда все сигналы разрядов примут стабильное значение. При синхронном принципе синхронизации период синхронизации задает строб – импульс. При асинхронном принципе синхронизации кроме строба от при - емника к источнику подается сигнал готовности приемника.

Функция арбитрации и селекции Способ разрешения коллизий, возникающих при обращении нескольких задатчиков к одной шине назовем арбитрацией, а способ разрешения коллизий, возникающих при обращении нескольких ПУ к ЦПр назовем селекцией. Принципы их реали - зации одни и теже. Устройство для реализации функции арби- трации – арбитр, для селекции – контроллер прерываний.

Функция арбитрации и селекции

Функция контроля: избыточное кодирование и режим тайм – аут. Функция преобразования: из параллельного кода в последовательный и наоборот. Функция автоконфигурации: автоматическая конфигурация периферийных устройств при их подключении к компьютеру. Функция управления питанием: управление электропотреблением при разных состояниях компьютера, спецификация ACPI, технология OnNow С точки зрения ACPI, всего имеется 4 состояния РС: G0 – обычное рабочее состояние G1 – suspend, спящий режим G2 – soft-off, режим, когда питание отключено, но блок питания находится под напряжением, и машина готова включиться в любой момент G3 – mechanical off – питание отключено напрочь

Инициатива OnNow заключается в расширении состояния G1. Вместо простого засыпания, реализованного непонятно как, вводятся 4 режима: S1: (standby 1) останавливаются тактовые генераторы CPU и всей системы, но при этом состояние памяти остается неизменным. Выход из S1 осуществляется мгновенно. S2: (standby 2) также останавливаются тактовые генераторы CPU и всей системы, но к тому же отключается питание кэша CPU и, а данные, хранившиеся там, сбрасываются в основную память. Включение также происходит достаточно быстро. S3: (suspend-to-memory) по замыслу, именно этот режим должен был быть OnNow, но по воле разработчиков пока так не получилось. Должны обесточиваться все компоненты системы, кроме памяти, в которой сохраняются необходимые данные о состоянии CPU и кэша. Включение с восстановлением предыдущего состояния РС действительно происходит Now, т.е. практически сразу. S4: (suspend-to-disk) то, что реализовано в каком-то виде сейчас. Все компоненты системы обесточиваются, данные о состоянии процессора и содержимое кэша и памяти записываются в специальное отведенное место на жестком диске. При этом пробуждение может занимать значительное время. Функция горячего подключения ПУ. Эта функция позволяет отключать и подключать ПУ без остановки компьютера. При этом происходит автоконфигурирование включенного устройства без участия оператора.

Протоколы передачи данных в компьютерных интерфейсах Алгоритмы протоколов передачи данных. Два этапа: захват шины и передача данных. 1–ый этап: Арбитрация 1. Запрос задатчика арбитру на захват шины. 2. Арбитр анализирует приоритеты и выдает разрешение задатчику с наибольшим приоритетом. 3. Задатчик захватывает шину при ее переходе в состоя - ние холостого хода и выставляет сигнал занятости шины. Селекция 1. Запрос ПУ к контроллеру прерываний на выполнение операции ввода - вывода 2. Контроллер прерываний анализирует приоритет ПУ и выдает разрешение ПУ с наибольшим приоритетом. 3. ЦПр анализирует сигнал от контроллера прерываний, прерывает свою работу и переходит к процессу ввода – вывода.

2-ой этап: 1. Задатчик устанавливает адрес исполнителя. 2. Задатчик устанавливает код (сигнал) команды. 3. При готовности задатчика и исполнителя начинается передача данных. 4. После передачи последнего слова шина переходит в состояние холостого хода.

Параллельный интерфейс

IRQ – запрос на прерывание от ПУ, INT – запрос от КПр к ЦПр INTA – сигнал разрешения передачи и чтеня вектора прерываний, идет от ЦПр к КПр

Последовательный интерфейс Протоколы последовательных интерфейсов используют те же принципы построения, что и сетевые протоколы. Если использовать термины модели взаимо­действия открытых систем (OSI), то последовательный интерфейс можно представить в виде трехуровневой модели, содержащей прикладной, канальный и физический уровни. В случае последовательных компьютерных интерфейсов топология взаимосвязи устройств по этому интерфейсу осуществляется по принципу «точка-точка» или по схеме многоуровневой звезды, подобным сетевым структурам. Последовательный интерфейс, как правило, соединяет главный контроллер (хост-контроллер) или просто контроллер системного блока компьютера с контроллером или адаптером периферийного устройства. Главный контроллер или просто контроллер взаи­ модействует с центральным процессором посредством интерфейса ввода/вывода (шина расширения, например, PCI).

Прикладной уровень на стороне центрального процессора представляет из себя программное обеспечение, которое управляет работой ПУ, реализуя ту или иную функцию. На стороне ПУ этот уровень соответствует тому функциональному назначению, которое имеет данное ПУ (вывод на печать, ввод с клавиатуры, указатель типа «мыши» и т.п.). Канальный уровень реализует протокол передачи данных между главным контроллером и ПУ. В общем случае между задатчиком и исполнителем. Канальный уровень функционирует с блоками (кадрами или пакетами) данных и обеспечивает синхронизацию между приемником и передатчиком на уровне блоков. При такой синхронизации приемник должен распознать начало первого байта блока, распознавать границы полей блока и распознавать признаки конца блока. Физический уровень представляет аппаратные и программные средства подключения ПУ к главному контроллеру или просто контроллеру, который находится в системном блоке компьютера через соответствующие порты ввода/вывода. На физическом уровне в последовательном интерфейсе единицей информации является бит, поэтому средства физического уровня всегда поддерживают побитовую синхронизацию между приемником и передатчиком.

Основными режимами передачи информации по последовательному интерфейсу являются асинхронная и синхронная передача данных, которым соответствует асинхронные и синхронные протоколы. Данные передаются последовательно бит за битом, биты образуют байты (определенные символы), а байты образуют блоки передаваемых данных. Тип протокола (асинхронный, синхронный) определяется по принципу синхронизации байта (символа) и блока. Асинхронный протокол: асинхронная передача байт (символов) и синхронный бит в байте. Синхронный протокол: синхронная передача байт, асинхронный – блоков. Асинхронные протоколы представляют собой наиболее старый способ связи типа «точка-точка». Эти протоколы оперируют не с блоками, а с отдельными символами, кото­рые представлены байтами и старт-стопными битами. Такой режим работы называют асинхронным или старт-стопным. В синхронных протоколах обмен данными осуществляется блоками (кадрами, паке­тами), которые имеют в общем случае заголовок, поле данных и концевик. Все биты блока передаются непрерывным синхронным потоком, что значительно ускоряет передачу данных.

Синхронный протокол

Протоколы последовательной передачи данных используют две процедуры организации взаимодействия передатчика и приемника: без установления соединения и с предварительным установлением логического соединения. Передача кадра без установления соединения называется дейтаграммной процедурой. В этом случае передатчик никакой ответственности за утерю передаваемого кадра не несет.

Парллельный интерфейс

Структура систем ввода-вывода

Иерархия шин компьютера Пр Сев. мост Южн. мост Монитор ОЗУ Шина FSB Шина памяти Шина чипсет Шина видео PCI PCI Expres USB ATA Сеть Набор системной логики чипсет

Системные интерфейсы, шины расширения ТЕМА 1.3

Шины верхнего уровня Это шины соединяющие процессор с ОЗУ иПУ: шина переднего плана - FSB (Front-Side Bus)связь МПР с ОЗУ, видеомонитором и ПУ скорость работы наибольшая. -- шина заднего плана – BSB (Back – Side Bus), она быстрее, чем FSB, это шина для связи МПР с кэш-памятью второго уровня, -- шина оперативной памяти для связи ОЗУ с FSB. Частота процcора получается путем умножения номинальной частоты шины FSB на коэффициент умножения процессора. Используемая Intel в настоящее время эволюция FSB – QPB, или Quad-Pumped Bus, способна передавать четыре блока данных за такт и два адреса за такт! То есть за каждый такт синхронизации шины по ней может быть передана команда либо четыре порции данных (напомним, что шина FSB–QPB имеет ширину 64 бит, то есть за такт может быть передано до 4 х 64=256 бит, или 32 байт данных). Итого, скажем, для частоты FSB, равной 200 МГц, эффективная частота передачи адреса для выборки данных будет эквивалентна 400 МГц (2 х 200 МГц), а самих данных – 800 МГц (4 х 200 МГц)3.3

Характеристики шин FSB Процессор частота Тип FSB Теоретическая пропускная FSB Мггц способность FSB, Мб/с Intel Pentium III 100/133 AGTL+ 800/1066 Intel Pentium 4 100/133/200 QPB 200/4266/6400 Intel Pentium D 133/200 QPB 4266/6400 Intel Pentium 4 EE 200/266 QPB 6400/8533 Intel Core 133/166 QPB 4266/5333 Intel Core 2 200/266 QPB 6400/8533 AMD Athlon 100/133 EV6 1600/2133 AMD Athlon XP 133/166/200 EV6 2133/2666/3200 AMD Sempron 800 HyperTransport 6400 AMD Athlon /1000 HyperTransport 6400/8000

Процессор Core i7 Шина QPI.Ключевыми особенностями микроархитектуры Nehalem стали интегрированный в процессор контроллер памяти и новая шина с топологией точка-точка Quick Path Interconnects (QPI), позволяющая не только связывать процессор с чипсетом, но и несколько процессоров между собой напрямик. С технической точки зрения шина QPI представляет собой два 20-битных соединения, ориентированных на передачу данных в прямом и обратном направлении. 16 бит предназначаются для передачи данных, оставшиеся четыре – носят вспомогательный характер, они используются протоколом и коррекцией ошибок. Эта шина работает на максимальной скорости 6,4 миллиона передач данных в секунду (GT/s) и имеет, соответственно, пропускную способность 12,8 Гбайт/с в каждую сторону или 25,6 Гбайт/с суммарно.На сегодня пропускная способность QPI такова, что эту шину можно смело назвать самой скоростной процессорной шиной. Так, старая Quad Pumped Bus достигает суммарной пиковой скорости 12,8 Гбайт/с только при частоте 1600 МГц. Похожая же на QPI шина HyperTransport 3.0, применяемая в современных процессорах AMD, может похвастать пиковой скоростью лишь 24 Гбайт/с. В зависимости от рыночного ориентирования, процессоры с микроархитектурой Nehalem могут комплектоваться одним или несколькими интерфейсами QPI. В итоге в многопроцессорной системе каждый из процессоров может иметь прямую связь со всеми остальными процессорами для снижения латентности при обращении к памяти, подключенной к «чужому» контроллеру. Модели же для однопроцессорных настольных систем будут снабжаться единственным QPI, который будет использоваться для связи с набором логики материнской платы

Шина QPI

Шина ISA (Industry Standart Architekture) Архитектура шины Однопроцессорная Трехшинная архитектура 8,16 разрядов данных,24 разряда адреса Асинхронная Производительность 4 мбайта/сек, частота 8 мгц 11 линий прерывания,7 линий ПДП Задатчики: ЦПр, КПДП, КРег, Пл.расш. Имеется перестановщик байт Блочной передачи нет Два адресных пространства: ОЗУ, регистры Вв/Выв

Cтруктура шина ISA Проц. ОЗУ Сист. К Кон. Пр.К П Д П Кон.В-В ISA ШД ШУ ША

Типы циклов шины Ц Пр Плата расш. Контр. ПДП Контр рег. 16 р.8 р.16 р.8 р.16 р.8 р. ОЗУв/в.ОЗУв/в. ОЗУ, в/вОЗУ 0 такт ожид. норм. цикл удл. цикл норм. цикл удл. цикл чтениезаписьчтение Тип задатчика Тип ресурса Тип памяти Тип цикла Операция

Сигналы шины ISA Сигналы адреса и данных SA(System Adres)[19-0] - адрес с «защелкиванием» LA(Latchable Adres)[24-17] - адрес без «защелкивания» SD(System data)[15-0] – данные # SBHE(System Bus High Enable) – передача старшего байта BALE(Bus Adres Latch Enable) – строб адреса AEN(Adres Enable) – разрешение ПДП Сигналы команд # MEMR(Memory Read) – чтение ОЗУ # MEMW(Memory Write) – запись ОЗУ # I/OR(Input Output Read) – чтение Вв-Выв # I/OU(Input Output Write) – запись Вв-Выв # MEMCS16(Memory Cycle Select) – 16 раз. ОЗУ # I/OCS16(Input Output Cycle Select) – 16 раз. Вв-Выв I/OCHRDY(I/O Cannel Ready) – готовность Вв-Выв #OWS(O Wite States) – 0 циклов ожидания # REFRESH – регенерация ОЗУ

Сигналы управления # Master – задатчик внешней платы # I/O CH CK(I/O Channel Check) – ошибка RESET – сброс SYSCLC(System Clock) – синхроимпульсы,8 мгц OSC – генерация сигналов с частотой мгц Сигналы прерывания IRQ(Interrup ReQuest) – сигналы прерывания [15,14,12,11,10],[976543] DRQ(Direct memory access ReQuest) – запрос ПДП [7,6,5,0],[3,2,1] DACK(DMA Request Acknowledge] – разрешение ПДП [7,6,5,0],[3,2,1] T/C(Terminal Count) – окончание ПДП

Перестановщик байт Задатчик Исполнитель Перестановщик байт Старший байт (15 – 8) Младший байт (7 – 0) # SBHE, A o, # CS16

Временные диаграммы чтения или записи на шине ISA

Цикл обмена DMA(ПДП)

Шина PCI (Peripheral Component Interconnect) Базовая версия PCI: Тактовая частота шины 33 МГц, используется синхронная передача данных; Пиковая пропускная способность 133 МБ в секунду; Параллельная шина данных шириною 32-бита; Адресное пространство 32-бита (4 ГБ); Сигнальный уровень 3.3 или 5 вольт.

Позже появляются следующие ключевые модификации шины: PCI 2.2 допускается 64-бит ширина шины и/или тактовая частота 66 МГц, т.е. пиковая пропускная способность до 533 МБ/сек.; PCI-X, 64-бит версия PCI 2.2 с увеличенной до 133 МГц частотой (пиковая пропускная полоса 1066 МБ/сек.); PCI-X 266 (PCI-X DDR), DDR версия PCI-X (эффективная частота 266 МГц, реальная 133 МГц с передачей по обоим фронтам тактового сигнала, пиковая пропускная полоса 2.1 ГБ/сек); PCI-X 533 (PCI-X QDR), QDR версия PCI-X (эффективная частота 533 МГц, пиковая пропускная полоса 4.3 ГБ/сек.); Mini PCI PCI с разъемом в стиле SO-DIMM, применяется преимущественно для миниатюрных сетевых, модемных и прочих карточек в ноутбуках; Compact PCI стандарт на форм фактор (модули вставляются с торца в шкаф с общей шиной на задней плоскости) и разъем, предназначенные в первую очередь для промышленных компьютеров и других критических применений; развитие – PXI.

многопроцессорная; двухшинная архитектура; 32, 64 – разрядная адресация данных; синхронная шина; производительность 133 Мбайт/сек – 4,3 Гбайт/сек, частота 33 МГц – 133 МГц, эффективная частота до 533 МГц, использование технологий DDR и QDR; пакетная передача данных, транзакции; арбитрация; таймер-задиржка; два метода адресации; три адресных пространства: ОЗУ, регистры ввода/вывода, регистры автоконфигурации; поддержка автоконфигурации; контроль четности. Архитектура шины

Пр ОЗУ Контр. ОЗУ Авто конф. Арб. Исп. Зад. Мост П У PCI, ISA IDSEL GRN REQ Главный мост PCI – A/D, Управл.

Базовые сигналы шины PCI AD[31-0] – адрес – данные; C/BE[3-0] – код команды, указатель байт; #FRAME – начало и конец транзакции; #DEVSEL – исполнитель найден; #IRDY – готовность задатчика, строб данных; #TRDY – готовность исполнителя, строб данных; #STOP – прерывание транзакции от исполнителя; #LOCK – выполнение нескольких транзакций; #REQ – запрос на захват шины; #GNT – разрешение на захват шины; IDSEL – выбор устройства при автоконфигурации; PAR – контроль по четности A/D, C/BE; #PERR – ошибка паритета; #SERR – системная ошибка; #RST – сброс; CLK – синхроимпульс.

Декодирование команд шины PCI

Циклы шины Тц Тц цикл t зад фаза ФАФД1ФД2 ФДn X.Xод Х.Ход Транзакция Циклы ожидания Цикл передачи данных Фаза данных Последняя фаза данных СИ(CLK) Сигналы на шине

Чтение

Запись

Арбитрация

Задержка доступа REQ # GRN # FRAME # TRDI # Т арб Т зш Т исп Т зд Задержка доступа Т арб – задиржка арбитрации Т зш – задиржка захвата шины Т исп – задиржка исполнителя Т зд – задиржка доступа Т зд = Т арб + Т зш + Т исп

Автоконфигурация идентификатор устройства Идентиф. пройзводителя 1 состояние команда 2 Код класса Идент. версии 3 самотест.Тип заголов.Таймер задир.Размер КЭШ

Автоконфигурация

шина(порт) AGP (Acceleration Graphic Port) AGP построен на базе шины PCI. 32 разряда адреса/данных,частота 66 мггц Высокая производительность за счет: 1. Конвейеризации обращения к памяти. 2. Умножении частоты передачи данных по отношению к 66 мггц(2 х,4 х,8 х). 3. Демультипликация шины адреса/дан- ных.

Шина AGP Циклы обращения к памяти PCI и AGP Конвейер AGP

Производительность шины AGP1 х-266 мбайт/сек AGP2 х-533 мбайт/сек AGP4x-1066 мбайт/сек AGP8x-2132 мбайт/сек

PCI Express, на стадии проектирования была также известна как 3GIO (Ввод-вывод третьего поколения) или по кодовому имени рабочей группы и проекта «Arapahoe», причем оба названия (3GIO и PCI Express) являются зарегистрированными торговыми марками PCISIG; Дата рождения 22 июля 2002 года опубликована базовая спецификация протокола и сигнального уровня, а также базовая спецификация на форм-фактор и энергопотребление карт и разъемы Три версии шины к 2011 г.: PCIe1= 2,5 Гбит/с, PCIe2= 5 Гбит/с, PCIe3=8Гбит/с. К 2015 г.- PCIe4=16Гбит/с.

PCIExpress- последовательная шина, использующая дуплексный принцип передачи данных по 2 проводам, называ- емым линией. Данные передаются в виде пакетов определенного формата. Соединение между двумя устройствами PCI Express состоит из одной (x1) или нескольких (x2, x4, x8, x12, x16 и x32) двунаправленных последовательных линий. Передача данных происходит одновременно по каждой линии путем распределения байт по этим линиям. Совокупность линий образуют последовательную шину с пакетной передачей данных.Каждое устройство должно поддерживать по крайней мере соединение с одной линией (x1).

Каждый байт (8 бит) данных, по определенной схеме кодирует - ся 10 битами передаваемых данных(код 8b/10b). Избыточное кодирование позволяет исправлять многие простые ошибки, неизбежные на столь высоких частотах, без привлечения протоколов вышележащих уровней и без лишних повторных передач пакетов. Кроме того, это нужно для того, чтобы уменьшить долю «постоянных» составляющих в сигнале (не более 4 нулей или единиц подряд), обеспечить баланс дифференциальной пары по постоянному току и позволить приемнику уверенно синхронизироваться по фронтам поступающего сигнала, поскольку никакого дополнительного («внешнего») синхронизирующего сигнала от тактового генератора в PCI Express не используется. Избыточность-20%. В PCI Express 3.0 используется более экономное кодирование 128b/130b с избыточностью 1,5%.

PCI Express относится к шинам класса «точка-точка», то есть одна шина может соединять только два устройства (в отличие от PCI, где на общую шину «вешались» все PCI-слоты компьютера), поэтому для организации подключения более чем одного устройства в топологию организуемой PCI Express, как и в Ethernet-решениях на базе витой пары или устройствах USB, придется вставлять «хабы» и «свитчи», распределяющие поступающий сигнал по нескольким шинам. Это тоже одно из главных отличий PCI Express от прежних параллельных шин. Программная модель PCI Express во многом унаследована от PCI, то существующие системы и контроллеры могут быть доработаны для использования шины PCI Express заменой только физического уровня, без доработки программного обеспечения.

Вся контрольная информация передается по тем же линиям что и данные, используется стек протоколов, из нескольких уровней, включая маршрутизацию данных; Стандарт предусматривает и альтернативные носители сигнала, такие как оптические волноводы; Возможность динамического подключения и конфигурации устройств; Возможность распознавания и использования альтернативных (улучшенных) протоколов обмена. Автоконфигурация Три адресных пространства: ОЗУ,Ввод-вывод, автоконфигурация,Сообщения. Эффективная скорость передачи данных на шине определяется произведением частоты на количество линий с учетом дуплексности и избыточности 8b/10b – 20%,128/130 – 1,5% потерь

Многоуровневая модель шины PCIe PCI Express использует традиционную многоуровневую модель, аналогичную сетевой ISO/SOI. На самом верхнем уровне располагаются прикладные приложения, использующие данное PCI-устройство. Для них в новой схеме не изменяется ровным счетом ничего. Для передачи или приема данных через шину PCI приложения обращаются к операционной системе. На этом уровне по-прежнему сохраняется стопроцентная совместимость с уже существующей моделью PCI Plug`n`Play (PnP) все старые операционные системы как работали с PCI, так и будут работать с PCI Express.

Спуск еще на уровень по схеме и мы попадаем в мир драйверов, обслуживающих конкретные устройства PCI Express. Здесь все также без изменений разработчикам не придется изучать новую шину, совместимость с PCI стопроцентная Прежде всего, добавлено два новых уровня (Transaction Layer и Link Layer), которых иначе как TCP и IP не назовешь выполняемые функции абсолютно те же, что и у «сетевых» аналогов. Transaction Layer получает запросы на чтение и запись от программного уровня и заведует первоначальной упаковкой данных, передачей их конкретному получателю и гарантиями корректной доставки сообщения Link Layer заведует более приземленными делами - здесь указывается уникальный номер пакета (его маршрутизация осуществляется по заголовку, относящемуся к транспортному уровню), по которому контроллеры шины принимают решение о направлении пакета в конкретную физическую линию передачи данных, здесь же располагается код обнаружения и исправления ошибок в принятом пакете (CRC), номер пакета, позволяющий отличить один пакет от другого, и разная вспомогательная информация

Frame начальный и конечный фрейм пакета - его добавляет физический уровень для определения начала и окончания передачи пакета данных; Packet # номер пакета, добавляется на сетевом уровне чтобы пакеты можно было отличить друг от друга; Header заголовок пакета, описывает тип пакета, получателя, приоритет и другие свойства, это информация транспортного уровня; Data собственно данные пакеты; CRC контрольная сумма пакета.

Fmt указание типа заголовка (12 или 16 байт) и признак наличия в пакете данных; Type тип пакета (один из четырех основных типов - Memory, I/O, Config, Message и бит, определяющий запрос это или ответ на запрос); RequestorID получатель пакета (шина, устройство, функция устройства); Reserved зарезервированное поле; Traffic Class используется для маршрутизации; Address/Routing адрес в памяти, куда предназначается пакет (32- или 64-разрядный) или иная информация о маршрутизации пакета; Length объем передаваемых в пакете данных; Attr вспомогательные атрибуты пакета (Snoop, Ordering); Tag идентификатор транзакции (Transaction Tag); Reserved зарезервированное поле; Byte Enables вспомогательная информация.

Самый простой вариант перехода на PCI-Express для стандартных по архитектуре настольных систем

Однако в будущем логично ожидать появление некоего разветвителя PCI Express. Тогда вполне оправданным станет и объединение северного и южного мостов. Приведем примеры возможных системных топологий. Классический PC с двумя мостами:

Более обобщенная (серверная) архитектура с одним мостом:

Мощный сервер:

Производительный сетевой роутер:

Шина HyperTransport Технология HyperTransport (ранее известная как Lightning Data Transport) – это последовательная (пакетная) связь, построенная по схеме peer-to-peer (точка-точка), обеспечивающая высокую скорость при низкой латентности (low-latency responses). HyperTransport имеет оригинальную топологию на основе линков, тоннелей, цепей (цепь – последовательное объединение нескольких туннелей) и мостов (мост выполняет маршрутизацию пакетов между отдельными цепями), что позволяет этой архитектуре легко масштабироваться.

Шина HyperTransport

На данный момент консорциумом HyperTransport разработана уже третья версия спецификации, согласно которой шина HyperTransport может работать на частотах до 2,6 ГГц (сравните с шиной PCI и её 33 или 66 МГц). Это позволяет передавать до 5200 миллионов пакетов в секунду при частоте сигнала синхронизации 2,6 ГГц; частота сигнала синхронизации настраивается автоматически. Полноразмерная (32-битная) полноскоростная (2,6 ГГц) шина способна обеспечить пропускную способность до МБ/с (2*(32/8)*2600) в каждую сторону, являясь на сегодняшний день самой быстрой шиной среди себе подобных.

ИНТЕРФЕЙС I 2 C Интерфейс последовательной шины I 2 C (Inter IС Bus - шина соединения микросхем), разработан в конце 80-х фирмой Philips как простое и дешевое средство сопряжения микросхем бытовой электроники. Сейчас он стал фактическим промышленным стандартом для устройств различного назначения. В настоящее время действует спецификация 2.1, принятая в 2000 г. Интерфейс I 2 C обеспечивает скорость передачи данных до 3,4 Мбит/с, при этом он гораздо проще и дешевле интерфейса RS-232C (максимум 115 Кбит/с) и позволяет легко подключать несколько устройств, с поддержкой «горячего» подключения/отключения и технологии РnР. Шина I 2 C очень удобна для обмена небольшими объемами данных, например, для конфигурации различных устройств. Приложения этого протокола могут быть самыми разнообразными.. В ряде современных системных плат присутствует шина SMBus, основанная на интерфейсе I 2 C.

Эта шина используется для доступа к памяти идентификаторов и средствам термоконтроля процессоров Xeon. Она же входит и в состав сигналов слота CNR (слот подключения расширений аудиокодека и телекоммуникаций) для конфигурирования аудио- и коммуникационного оборудования. По интерфейсу I 2 C современные мониторы обмениваются конфигурационной и управляющей информацией с графическим адаптером (а через него и с центральным процессором). I 2 C успешно применяется для подключения считывателей карт, штрих-кодов и т. п. С помощью интерфейса I 2 C можно загружать программы (firmware) в энергонезависимую память (флэш) ряда популярных микроконтроллеров.

При помощи интерфейса I2C передача данных осуществляется в синхронном режиме. Информационная линия данных SDA есть двунаправленная, а синхронизирующие сигналы SCL вырабатывает ведущее устройство (master). Скорость передачи данных интерфейса до 400 кбит/сек. На рис.1 показана схема протокола обмена на линии. Рис. 1 Временная диаграмма протокола обмена. Start осуществляется при отрицательном фронте SDA в момент когда SCL положительный, биты данных стробируются положительным фронтом SCL. После нулевого бита данных приёмник формирует низкий уровень на линии SDA, отвечая сигналом Ask. Сигнал Stop формируется положительным фронтом SDA когда SCL положительный. Каждое устройство имеет свой адрес и определяется первым байтом. 7b, 6b, 5b, 4b - тип устройства; 3b, 2b, 1b - номер устройства данного типа; 0b = 0 - запись; 0b = 1 - чтение.

Операция записи Операция чтения с текущего адреса

Тема 1.4. Интерфейсы периферийных устройств (Centronics, RS232, SCSI, USB)

Параллельный интерфейс: LPT-порт

Последовательные интерфейсы: СОМ-порт

шина SCSI (Small Computer System Interface)

Архитектура шины 1. Общая шина, параллельный, полудуплексный. 2. Двух шинная архитектура, шина А/Д – 8 или 16 раз. 3. Шлейфовое подключение ПУ. 4. Интерфейс асинхронный, синхронная и асинхронная передача данных. 5. Арбитрация распределенная, без специальных линий, используются линии А/Д. 6. Два типа устройств на шине:инициализирующее устройство(ИУ) (Master Device), обычно - главный контроллер, управляет процессом передачи данных; целевое устройство(ЦУ), контроллер – управляет до 8 ПУ, или многофункциональным. 7. К шине может подключается одно ИУ и 7 или 15 ЦУ. 7. Адресация ПУ двух уровневая: унитарный идентификатор(8,16) разрядов для ИУ и ЦУ, 3 разряда в дескрипторе команды – логический адрес ПУ, подключенному к ИУ. 9. Шина SCSI является мультиплексной по отношению к ПУ.

Структура SCSI, шина А/Д – 16 разрядов Хост контроллер лу 1 лу 2 лу 1 ЦУ 1ЦУ 2ЦУ 15 ПУ1ПУ2ПУ3 Терминатор … лу 1 лу 3 лу 2 ПУ4 ПУ5ПУ6 Идентификатор ИУ – Идентификатор ЦУ Высший уровень приоритета – Низший уровень приоритета

Протокол передачи данных Передача данных ведется в асинхронном или синхронном режимах. Ввод Вывод данные REQ ACK

Фазы шины Процесс передачи данных реализуется в виде последовательнос- ти определенной этапов работы шины,называемых фазами шины 1. Bus Free – шина свободна 2. Arbitration – выбор устройства с наивысшим приоритетом 3. Selektion – адресация ЦУ 4. Reselektion – возобновление прерванной команды 5. Command – передача комманды 6. Data In – ввод данных 7. Data Out- вывод данных 8. Status – передача состояния ЦУ 9. Message In – ввод сообщения 10. Message Out – вывод сообщения Каждая фаза идентифицируется определенным набором сигналов шины, выдаваемых ИУ и ЦУ.

Bus Free Arbit- ration Message Out Command Data Message In Message In Selec- tion Reselk tion Status

Фаза сообщений (message In/Out) Код сообщения - 1 байт 7 0 Код сообщения Аргумент - 2 байта 01h Код сообщения Длина - 3 байта Расширенное сообщение Команды Общие Специальные Обязательные Дополнительные Фирменные

Дескриптор команд Op code 7 0 LUN Length Control В команде 6 байт, 10 байт, 12 байт LBA 6 – 21 бит 10, 12 – 32 бит 6 – 1 байт 10 – 2 байта 12 – 4 байта 10, 12 имеют 1 байт резерва

Процесс ввода - вывода Прцесс ввода – вывода содержит две части: -- установка связи процесса с конкретным логическим устройством I_T_L; -- непосредственно передача данных Процесс может прерываться и снова возобновляться. С этой целью шина сохраняет для каждого процесса три указателя: команду, состояние и данные. ИУ имеет текущий набор указателей и наборы указателей прерванных процессов. Шина SCSI стандартизует наборы команд для управления различными типами ПУ, таких типов имеется 14. Например: Устройства прямого доступа. Устройства последовательного доступа. Принтеры. Привод CD-ROM. Сканеры. Коммуникационные устройства.

Шина USB (Universal Systems Interface)

Архитектура шины USB 1. Последовательная передача данных. 2. Полудуплексный режим передачи. 3. Принцип действия – сетевой с маркерным доступом. 4. Максимальное количество подключаемых ПУ – Скорость передачи: USB1 - 1,5 Мбит/сек, низкая сорость(LS) 12 Мбит/сек,полная скорость(FS) USB Мбит/сек,высокая скорость(HS) USB3 – 5 Гбит/сек 6. Наличие автоконфигурации. 7. Горячее подключение. 8. Возможно питание от шины. 9. Топология шины – многоуровневая звезда.

Структура шины В ней используются сетевые принципы построения шины. В основе структуры лежат устройства шины: хабы и функции. Хабы представля - ют из себя сетевые концентраторы, являющиеся центрами многоуров – невой звезды, к которым кабелем подключатся функции(ПУ). Могут быть комбинированные устройства, содержащие как хаб так и функцию(ПУ). Компьютер имеет хост – контроллер, содержащий корневой хаб, имеющий одну или несколько точек подключения. Хаб имеет один восходящий и несколько низходящих портов. Функции хаба. Распознает подключение или отключение устройства к порту. Управляет подачей питания на подключенное устройство. Может разрешить или запретить использование порта. Обеспечивает. изоляцию сегментов с низкой скоростью от Высокоскоростных. Структура имеет топологию – многоуровневой звезды.

СТРУКТУРА ХАБА БУ Восходящий порт … БУ Восходящий порт …

Физический интерфейс USB Кабель имеет 4 провода: два для передачи сигналов(Д+ и Д -), два для подачи питания (5 в). Дифференциальный способ передачи сигналов. Передатчик должен иметь 3-е высокоимпедансное состояние, для реализации полудуплексного режима передачи данных. Кроме дифференциального сигнала приемник и передатчик могут ра- ботать и с линейными сигналами. Это дает возможность иметь более двух состояний линий. Следующие состояния линий: - линейный ноль (SEO,Singl-Endet Zero): – Д + и Д – низкий уровень, -состояние передаваемых бит : Data J State( J ), Data K State( K ), - Пауза на шине: Idle State, - сигнал «пробуждения» : Resume State, - начало пакета: Start of Packet – переход из Idle State в состояние К, -конец пакета: End of Packed, -устройство отключено от порта: Disconnekt, -устройство подключено к порту: Connekt, - сброс устройства: Reset.

Кодирование информации Используется NRZI – кодирование NRZI Об. код NRZI – код: переключение сигнала происходит только при переходе из 1 в 0 и из 0 в 0. Если подряд идет более 6 единиц, то после шестой вставляется 0.

Типы передачи данных 1. Управляющие – конфигурирование и управление устройствами. Количество данных – не более 64 байт, асинхронная передача с квитированием. Control transfer. 2. Сплошные – передача больших блоков без жестких требований по времени доставки. Поле данных пакета не более 64 байт. Асинхрон- ная с квитированием. Bulk data transfer. 3. С прерываниями – короткие передачи типа символа. Асинхронная с квитированием. Interrupt. 4. Изохронные – непрерывная передача в реальном времени с заданной задиржкой доставки, например аудио, видео.

ТИПЫ ПЕРЕДАЧИ ДАННЫХ

Модель передачи данных Данные передаются между хост– контроллером и функцией(ПУ) в виде потоков информации. Управляет всеми передачами хост – контроллер. Возможны передачи только между хост – контроллером и ПУ. Функция представляется в виде логического устройства, состоящего из набора конечных точек (End Point, ЕР) – регистров. Каждое логическое устройство имеет свой адрес, каждая конечная точка идентифицирует- ся своим номером. В низкоскоростных устройствах 3, а в высокоскоро- тных до 16 ЕР. Каждое устройство должно иметь ЕР с номером 0, через которую осуществляется конфигурирование и управление устройством. Для решения задачи в устройстве имеется набор из несколько ЕР, на- зываемых интерфейсом задачи. Если выполняется несколько задач, устройство имеет несколько интерфейсов. Набор одновременно под- держиваемых интерфейсов составляет конфигурацию устройства. Каждая конечная точка(ЕР) имеет набор характеристик.

Характеристики конечной точки 1. Требуемая частота доступа и задиржка обслуживания. 2. Тpебуемая частота прoпускания канала. 3. Номер точки. 4. Требования к обработке ошибок. 5. Максимальный размер пакетов. 6. Тип обмена. 7. Направление обмена. При конфигурации между драйвером устройства и конечно точкой ус – танавливаются коммуникационные каналы(communication pipe). Пере- дача информации между ними производится в виде транзакций. Имеется два типа каналов: Потоковый – однонаправленный, реализует сплошной, с прерывания – ми и изохронный тип передачи, без определенной структуры. Сообщений – двунаправленный, реализует управляющий тип переда – чи, имеет формат определяемый спецификацией USB.

Структура модели Драйвер USB EP EP0 Драйверы устройств интерфейсы Устройство USB Каналы Хост- контроллер Основной канал сообщений

Протокол шины USB Протокол шины USB обеспечивает обмен данными между хостом и ус - тройством. Используется мультиплексирование данных с временным уплотнением. Единицей передаваемой информации является транзак- ция, состоящая из двух - трех пакетов. Хост-контроллер циклически с периодом 1 мс(USB1) или 125 мкс(USB2) для обмена с устройствами формирует кадры, содержащиe несколько транзакций. Кадры переда – ются согласно плану распределения ресурсов. Каждый кадр начинает- ся с пакета-маркера начала кадра SOF (Start Of Frame), а заканчивает- cя интервалом времени EOF(End Of Frame). Кадры нумеруются поcле - довательно. В маркере SOF передаются 11 младших бит номера кадра Кадр i - 1Кадр i Кадр i +1 EOP SOF

Транзакции и пакеты Транзакция содержит определенную последовательность пкетов. Пакет начинается с синхропоследовательности, один байт(USB1) – В коде NRZI: Две 1 означает начало информационной части пакетаSOP(Start Of Packet). Пакет заканчивается сигналом конца паке- таEOP (End Of Packet) – переводом обеих линий данных в низкое сос - тояние SEO (Singl-Ended Zero). В зависимости от назначения пакет имеет 3 формата. Маркер, пакет данных и пакет квитирования. Каждый пакет начинается c их идентификатора PID. Код PID задает тип пакета, т.е. его назначе – ние и функцию. Пакет квитирования имеет только поле PID.

Типы пакетов квитирования ACK – подтверждение безошибочного приема пакета. NAK – индикация занятости конечной точки. STALL – конечная точка требует вмешательство хоста. Серьезная ошибка. NYET – безошибочный прием, но отсутствует место для следующего пакента. Типы пакетов маркеров OUT, IN, SETAP, SOF. Устойчивость к ошибкам Все принимаемые пакеты проверяются на ошибки. Дублирование PID. CRC код. Вставка бит. Счетчик тайм-аута

Рис а. Формат прерывания

Рис б. Формат изохронных передач

Радиоинтерфейс периферийных устройств Беспроводные (wireless) интерфейсы позволяют освободить устройства от связывающих их интерфейсных кабелей, что особенно привлекательно для малогабаритной периферии, по размеру и весу соизмеримой с кабелями. В беспроводных интерфейсах используются электромагнитные волны инфракрасного (IrDA) и радиочастотного (Bluetooth) диапазонов. Кроме этих интерфейсов периферийных устройств существуют и беспроводные способы подключения к локальным сетям.

Интерфейсы устройств хранения (внешних запоминающих устройств – ВЗУ) ВЗУ обеспечивают энергонезависимое хранение больших массивов информации на каком либо физическом носителе. Наибольшее рас – пространение получили три вида носителей. 1.Магнитные: диски и ленты. 2.Оптические: CD и DVD диски. 3.Электрические, твердотельные: флэш – память, флэш – карты (CompactFlash, SmartMedia Card, MultiMedia card, и др.) ВЗУ делятся на устройства с прямым доступом – диски, флэш – память и с последовательным доступом – магнитные ленты. ВЗУ бывают внутренние, размещаемые в корпусе компьютера и внеш – ние, переносные, подключаемые к внешним портам компьютера.

Контроллер носителя как правило встроен в устройство хранения и соединяется с носителем информации посредством внутреннего специального интерфейса. Сами ВЗУ соединяются с контроллером хоста с помощью стандартных интерфейсов.

Блок - схема ВЗУ, подключенного к хосту Проц. ОЗУ Контр. носит. Управл. зап-чтен Носитель Привод Специальный интерфейс Хост ВЗУ IDE Стандартный интерфейс Хост- адаптер контрол

Стандартные интерфейсы ВЗУ К этим интерфейсам относятся. Интерфейсы IDE для магнитных и оптических дисков: ATA/ATAPI, SATA (ATA – AT Attachment for Disk Drives. ATAPI – ATA Package Interfeis, SATA – Serial ATA), универсальные интерфейсы SCSI, USB, Fire Wire Интерфейсы IDE, SCSI для внутренних ВЗУ, SCSI, USB, Fire Wire для внешних. Операции обмена хоста с ВЗУ всегда блочные. Блок – неделимая еди - ница хранения, имеющая свой адрес. Размер блока может быть фиксированным или произвольным, наиболее распространен блок с фиксированным размером в 512 байт. Адресация блока производится различными способами. Наиболее проста и удобна – линейная адресация – каждый блок адресуется oдномерным адресом LBA(Logik Blok Adress) Для дисков может исполь- зоваться трехмерная адресация: цилиндр, головка, сектор. Трехмерная адресация имеет предел емкости накопителя в 137Гбайт. Линейная – 137,4 при 28 разрядах адреса, 2,2Тбайта при 32-х разрядах и 144Пбайт при 48 разрядах.

Интерфейс ATA / ATAPI ATA/ATAPI – 16 разрядный параллельный интерфейс, с помощью которого устройство хранение IDE подключается к компьютеру. Устройство хранения посредством плоского 80 проводного кабеля со – единяется с контроллером (хост-адаптером) компьютера, подключен – ным к его внутренней шине расширения (ввода-вывода) – PCI, обычно используется контроллер PCI IDE Bus Master. Контроллер имеет свой контроллер DMA. Он может обслуживать несколько каналов(шин АТА), к каждому каналу можно подключить до 2 –х устройств IDE. Интерфейс АТА появился в 1980 году для подключения накопителя на жестких магнитных дисках к компьютеру IBM PC AT c шиной ISA. Поэтому набор сигналов шины АТА подобен сигналам шины ISA. Интерфейс ATA/ATAPI использует два типа режимов обмена. 1.PIO(Programmed Input/Output) – программный ввод-вывод, управляет процессор. 2. DMA – прямой доступ к памяти, процессом ввода-вывода управляет контроллер DMA.

Сигналы шины АТА/ATAPI - 4 RESET# (Device Reset) – сброс устройства. DA[2:0] (Device Address) – адрес регистров устройства. DD[15:0] (Device Data) – 16 битная шина данных. DIOR# (Device I/O Read) – строб чтения. DIOW# (Device I/O Write) – строб записи. IORDY (I/O channel Ready) – готовность устройства завершить обмен. IOCS16# - разрешение 16 битных операций. DMARQ (DMA Request) – запрос обмена по каналу DMA. DMACK# (DMA Acknowledge) – подтверждение DMA. INTRQ (Interrupt Request) – запрос прерывания. CS0,# CS1# (Chip Select0,1) – выбор регистров командного и управля - ющего блоков. PDIAG# (Passed Diagnostics) – прохождение диагностики. CBLID# (Cable assembly type identifier) – идентификация типа кабеля. DASP# (Devise Active, Slave Present) – активность или ведомое устр. SPSYNC/CSEL (Spindle Synchronization/Cable Select) – синхронизация шпинделя или выборка кабелем.

Режимы передачи данных Интерфейс ATA/ATAPI использует два типа режимов обмена. 1.PIO(Programmed Input/Output) – программный ввод-вывод, управляет процессор. 2. DMA – прямой доступ к памяти, процессом ввода-вывода управляет контроллер DMA. Одиночный режим(Singleword DMA),один цикл обмена на один сигнал DMARQ. Множественный режим(Multiword DMA), на один сигнал DMARQ несколько циклов обмена. Режим Ultra DMA, передача за один такт двух слов по переднему и заднему фронтам, использование 80-проводного кабеля. Интерфейc ATAPI – развитее АТА для других устройств, кроме жест – ких магнитных дисков. Этот интерфейс позволяет передавать пакет, содержащий командный блок, подобный шине SCSI. Это дает возмож - ность расширить применение шины АТА на другие устройства введя всего лишь одну новую команду.

Скорость передачи данных при различных режимах После 1980 года появилось 18 разновидностей режимов обмена, раз – личающихся скоростью передачи. Вот некоторые из них. Интерфейс АТА: PIO mode 0,1,2,3; Singleword DMA Mode 0,1,2; Multiword DMA Mode 0. ATA-2: Multiword DMA Mode 1. Fast ATA. ATA/ATAPI 4,5,6,7: UltraDMA Mode 0,1,2,3,4,5,6. Вот некоторые из них. PIO mode 0 - 3,3 Мбайт/с PIO mode 4 – 16,6 Мбайт/с Singleword DMA Mode 0 – 2,08 Мбайт/с Singleword DMA Mode 2 – 8,33 Мбайт/с UltraDMA Mode 0 – 16,6 Мбайт/с UltraDMA Mode 5 – 100 Мбайт/с UltraDMA Mode 6 – 133 Мбайт/с Правильный выбор режима работы обеспечивает надежность и произ- водительность обмена.

Интерфейс Serial ATA (SATA) SATA – последовательный интерфейс, позволивший повысить скорость обмена и существенно упростить средства соединения хоста с устройством. Достоинства интерфейса. 1. Повышение скорости обмена, базовая скорость 150 Мбайт/с, возмож- но ее увеличение. 2. Расширенный адрес 48 бит. 3. Возможность подключения каждого устройства к собственному порту контроллера хоста. 4. Возможность работы контроллера сразу с несколькими устройствами 5. Упрощаются и удешевляются кабели и разъемы. SATA сохраняет работу в режимах PIO и DMA, преемственность команд и совместимость программного обеспечения ATA/ATAPI. SATA имеет другой более интеллектуальный контроллер хоста в соот- ветствии со спецификацией нового программного интерфейса AHCI. Стандарт SATA рассматривает 4 – х уровневую модель взаимодей – ствия хоста и устройства SATA.

Четырехурвневая модель интерфейса SATA Имеется 4 уровня: прикладной, транспортный, канальный и физический 1. Прикладной уровень выполняет обмен командами, информацией о состоянии и хранимыми данными. 2. Транспортный конструирует пакеты, называемые информационными структурами – FIS(Frame Information Structure), которыми обменива – ются контроллер и устройство. Он передает их канальному уровню. FIS от канального разбирает на составные части и передает приклад – ному. 3. Канальный из FIS конструирует кадры, добавляя к ним примитивы, определяющие начало и конец кадра, а также служащие для управления потоком передаваемых данных и контрольный CRC код. Выпол – ет кодирование 8В/10В и передает кадры в виде битовых потоков физическому уровню. Битовые потоки от физического уровня преобра- зует в FIS и передает транспортному уровню. Со своим партнером(ка- нальным уровнем противоположной стороны интерфейса) обменива – ется подтверждением успешного приема кадра.

4. Физический уровень. Передача информации производится по двум экранированным парам проводов с помощью дифференциальных сигналов с использованием NRZ-кодирования. Данные по кабелю пе – редаются со скоростью 1,5 Гбит/с или 150 Мбайт/с(с учетом 8В/10В кодирования).Далее предполагается повысить эту скорость. Исполь – зуются битовая и символьная синхронизации. Дальнейшее развитие SATA является стандарт SATA II. Его отличия. 1. Работа с мультиплексором портов, позволяющем подключать к одно- му порту несколько устройств, и селектором портов дающем возмож- ность работы одного устройства с несколькими хостами. 2. Возможность подключения внешних по отношению к компьютеру ус – тройств 3. Эффективное обслуживание очередей. 4. Контроллер SATA II приближается по свом возможностям к SCSI. Появился интерфейс SATA 3 со скоростью 6 Гбит/сек. На базе SATA появился миниатюрный интерфейс mini – SATA(mSATA)

Внешние системы хранения данных SEMBR (Serial ATA enclosure management bridge) – мост SАТА SEP(Storage Enclosure Processor) – обслуживающий процессор с фун – кциями: поддержание климата, контроль питания, защита от не санкци- онированного доступа и др. - последовательный интерфейс. Хост Селектор порта Мультиплексор портов SEMB SEP Система хранения данных Устройства хранения SATA

Интерфейсы флэш - памяти Для подключения USB – флэш – памяти используется универсальный интерфейс USB 2.0. Для подключения флэш – карт используются разные интерфейсы в за – висимости от типа карты. Например, для карты CompactFlash в зависи- мости от режима работы используют интерфецсы, сопрягаемые или с интерфейсом PC Card или с IDE (ATA).

Тема 1.5. Аппаратные средства интерфейсов и систем ввода-вывода

Чипсет(Chipset) Чипсет - набор микросхем, являющихся интер- фейсом между составными частями компьюте- ра: ЦПр,ОЗУ,ПЗУ,порты ввода-вывода. Обычно это две микросхемы: южный мост и северный мост.

Чипсет ( Chipset ) – основа системной платы, - это набор микросхем системной логики. Посредством чипсета происходит взаимодействие всех подсистем ПК. Чипсеты обладают высокой степенью интеграции, и представляют собой (чаще всего) две микросхемы (реже встречаются однокристальные решения), в которых реализованы интегрированные контроллеры, обеспечивающие работу и взаимодействие основных подсистем компьютера Микросхема северного моста обеспечивает работу с наиболее скоростными подсистемами. Задача северного моста - с минимальными задиржками организовать обслуживание запросов к системной памяти. Южный мост обеспечивает работу с более медленными компонентами системы и периферийными устройствами.

Блок-схема чипсет

Свойства чипсет Intel 440BX: - возможность подключения двух про- цесcоров Pentium ll; - поддержка памяти EDORAM и SDRAM; - системная шина 64 бита,частота 66 и 100 МГц; - синхронный интерфейс PCI (33 МГц); - порт AGP1x/2x,частота 66/100 МГц; - управление энергопотреблением.

Характеристики чипсет i810: - поддержка однопрцессорной конфигу- рации; - системная шина 66 и 100МГц,64 разр.; - интерфейс памяти SDRAM на 100МГц; - 2Д/3Д графическое ядро; - поддержка шины PCI 2.2; - управление энергопотреблением; - контроллер Ultra ATA/66; - интерфейс LPC(Lou Pin Count); - отсутствие шины ISA;

Характеристики чипсет-северный мост i925 - поддержка процессоров с частотой шины 533/800МГц; - двухканальный контроллер памяти DDR333/400 и DDR2-400/533МГц; - шина для видеоускорителей PCI Expessx16; - встроенная графика для i915;

Характеристики чипсет южный мост ICH6 - 4 порта PCI Express x1; - Matrix Storage-поддержка устройств Serial ATA с RAID и AHCI,4 порта; - High Defenition Audio-новый стандарт для встроенного звука; - Wireless Connect-организация беспроводной сети - 8 портов USB; - 6 устройств PCI Bus Master; - 1 канал Parallel ATA; - MAC контроллер Fast Ethernet (10/100/1000);

Северный мост -чипсет х 38 Express - поддержка новых процессоров Cele- ron,Pentium и семейства Core 2 c систе- мной широй 800/1066 и 1333МГц; - двухканальный контроллер памяти DDR2-533/1066/1333; - 2 графических интерфейса PCI Express2.0x16; - шина DMI -2Гб/с для южного моста ICH9.

Чипсет Intel ICH9-южный мост - 6 портов PCI Express; - 4 слота PCI; - 4 порта Serial ATA II,режим AHCI; - организация RAID-массива с функцией Matrix RAID; - 12 устройств USB 2.0; - MAC-контроллер Gigabit Ethernet; - поддержка Intel Turbo Memori; - High Defenition Audi - обвязка низкоскоростной, старой периферии.

Intel 975X Express

Северный мост 975X: –поддержка процессоров Intel Pentium 4, Pentium 4 Extreme Edition, Pentium D, Pentium Extreme Edition, Core 2 Duo и Core 2 Extreme с частотой системной шины 800/1066 МГц; –двухканальный контроллер памяти DDR2-533/667 с поддержкой до 4 модулей DIMM суммарным объемом до 8 ГБ (возможно применение модулей с и без ECC); графический интерфейс PCIEx16 или 2 графических интерфейса PCIEx8 первые четырехъядерные процессоры должны официально заработать только в платах на базе i975X.

процессоры на базе Nehalem, имеющие 4 физических ядра с технологией SMT (Simultaneous Multi-Threading это хорошо известная нам технология Hyper-Threading, присутствовавшая у процессоров Pentium 4), что обеспечивает 8 виртуальных ядер. Такое ядро, производимое по 45-нанометровому техпроцессу, носит название Bloomfield. Помимо естественной перестройки процессорной микроархитектуры (изменения в иерархии кэш- памяти, SMT, новые процессорные инструкции и пр.), анонсированные модели отличает также интегрированный контроллер памяти теперь он переехал из чипсета поближе к основному потребителю. В вышедшем ранее прочих ядре Bloomfield контроллер памяти трехканальный, рассчитанный на DDR Bloomfield использует для связи с чипсет новую шину QPI, разработанную Intel для связи процессоров (сокетов) между собой функционально это приблизительный аналог шины HyperTransport, широко применяемой в решениях AMD. Естественно, что для поддержки всех новых процессоров потребуются новые чипсеты (так как прежние соединялись с процессором по шине FSB).

Intel X58 Express

поддержка новых процессоров (представленных на момент анонса статьи семействами Core i7 и Core i7 Extreme Edition), основанных на микроархитектуре Nehalem, при подключении к этим процессорам по шине QPI; 2 графических интерфейса PCI Express 2.0 x16, с возможностью разбить каждый на два графических интерфейса с половинной скоростью или даже на четыре с четвертной скоростью; дополнительный интерфейс PCI Express 2.0 x4, с возможностью разбить его на два интерфейса с половинной скоростью; шина DMI (с пропускной способностью ~2 ГБ/с) до южного моста ICH10/R.

Южный мост ICH10/R до 6 портов PCIEx1 (PCI-E 1.1); до 4 слотов PCI; 6 портов Serial ATA II на 6 устройств SATA300 (SATA-II, второе поколение стандарта), с поддержкой режима AHCI и функций вроде NCQ (у ICH10 работоспособность этого режима гарантирована только под Windows Vista), с возможностью индивидуального отключения, с поддержкой eSATA и разветвителей портов; возможность организации RAID-массива (только для ICH10R) уровней 0, 1, 0+1 (10) и 5 с функцией Matrix RAID (один набор дисков может использоваться сразу в нескольких режимах RAID например, на двух дисках можно организовать RAID 0 и RAID 1, под каждый массив будет выделена своя часть диска); 12 устройств USB 2.0 (на двух хост-контроллерах EHCI) с возможностью индивидуального отключения; MAC-контроллер Gigabit Ethernet и специальный интерфейс (LCI/GLCI) для подключения PHY-контроллера (i82567 для реализации Gigabit Ethernet, i82562 для реализации Fast Ethernet); поддержка Intel Turbo Memory(только для ICH10R); High Definition Audio (7.1); обвязка для низкоскоростной и устаревшей периферии, прочее

Чипсет Intel P55 для новой платформы Socket 1156 Для поддержки процессоров с новым сокетом требуются новые чипсеты, и P55 является первым из них. Не должен вызывать удивления тот факт, что архитектура чипсета значительно изменилась со времен хотя бы серии Intel 4x. Сначала в процессор (ядро Bloomfield) переехал контроллер памяти, а теперь туда же переместился и контроллер графического интерфейса. Вполне естественно, что P55 при этом отказался от северного моста, который бы иначе оставался просто лишним звеном в цепочке, и теперь чипсет фактически представляет собой прежний (немного улучшенный) южный мост. По-видимому, Intel показалось несолидным сведение чипсета к южному мосту, так что вместо напрашивающейся традиционной аббревиатуры ICH (I/O Controller Hub) мост P55 носит название PCH Platform Controller Hub.

Intel P55 Express

характеристики чипсета P55 Express поддержка новых процессоров (представленных на момент анонса статьи семействами Core i7 и Core i5), основанных на микроархитектуре Nehalem, при подключении к этим процессорам по шине DMI (с пропускной способностью ~2 ГБ/с); до 8 портов PCIEx1 (PCI-E 2.0, но со скоростью передачи данных PCI-E 1.1); до 4 слотов PCI; 6 портов Serial ATA II на 6 устройств SATA300 (SATA-II, второе поколение стандарта), с поддержкой режима AHCI и функций вроде NCQ, с возможностью индивидуального отключения, с поддержкой eSATA и разветвителей портов; возможность организации RAID-массива уровней 0, 1, 0+1 (10) и 5 с функцией Matrix RAID (один набор дисков может использоваться сразу в нескольких режимах RAID например, на двух дисках можно организовать RAID 0 и RAID 1, под каждый массив будет выделена своя часть диска); 14 устройств USB 2.0 (на двух хост-контроллерах EHCI) с возможностью индивидуального отключения; MAC-контроллер Gigabit Ethernet (подключение PHY-контроллера i82577/82578 осуществляется через любой свободный порт PCIEx1 чипсета); High Definition Audio (7.1); обвязка для низкоскоростной и устаревшей периферии, проч

Таймер Программно управляемое устройство предназначенное для задания вре- менных интервалов различного ви- да в системах ввода-вывода и интер- фейсах.